VHDL language is the core application of EDA technology in medicine

0 Preface
VHDL ultra-high-speed integrated circuit hardware description language is gradually developed with the integration and high integration of integrated circuits. It is a standard hardware description language for digital system design and testing, which is oriented to multiple fields and multiple levels. It was born as a circuit design tool from the US Department of Defense in the 1970s and has become a very popular hardware description tool and is supported by most EDA tools. With the continuous advancement of electronic technology, the design of digital systems is moving toward high speed, large capacity, and small volume. The traditional bottom-up model can no longer meet the design requirements of chips and systems. In order to improve design efficiency, the VHDL design method that simplifies the design process and greatly reduces the design difficulty has received wide attention. Compared with other traditional integrated circuit description languages, VHDL has obvious advantages:
(1) Powerful and powerful. It can be used for description, simulation and design at the gate level, circuit level or even system level.
(2) Good portability. The same description can be used for design and simulation tools and for different platforms.
(3) The development cycle is short and the cost is low.
(4) can extend the life cycle of the design.
(5) With circuit simulation and verification functions, users can perform source code level debugging without even having to write phasor test. Designers can skip circuit experiments and directly compare and select various solutions to improve design efficiency.
(6) The description of the design is relatively independent.
(7) Language standards, specifications, easy to share and reuse.
At present, VHDL infiltrates electronic technology and its related industrial fields and plays an increasingly important role in industrial design. Worldwide, the application research on VHDL in many fields, especially in chip and system design, has achieved many outstanding results.
The combination of VHDL and medicine is bound to become a new research direction of Electronic Automation Design (EDA). This paper mainly studies the application of EDA to medical science through VHDL, taking pulse measurement as an example to realize the digital system to the human body. Intuitive and accurate measurement of activity and physiological response.

1 experimental design
1.1 Application of EDA technology in pulse measurement in medical teaching
In the clinical diagnosis, nursing, etc., when measuring the pulse measurement, it is considered that the pulse is easily touched on the radial artery that beats the outside of the palm of the hand, and the neck can also be measured. The femoral artery of the carotid or groin. The measurement method is that the patient's arm is placed in a comfortable position, and the fingertip end of the index finger, the middle finger, and the ring finger is pressed on the surface of the radial artery, and the patient is usually silent for half a minute. Multiplying the measured pulse rate by 2 is the number of pulses in one minute, and the abnormal patient measures one minute. The pulse of an adult is 60 to 80 times per minute in a quiet state. If less than 60 times, it is bradycardia. But for well-trained athletes, the pulse is sometimes less than 60 times, which is a powerful manifestation of heart health. If more than 100 times is tachycardia. When physical activity or emotional agitation, the pulse can be temporarily increased, and the pulse is also increased when the fever occurs. Generally, the pulse increases by 10 to 20 times for every 1 °C increase in body temperature. This method can only roughly calculate the pulse beat. If the VHDL language is applied, the one-minute counting result can be visually and accurately seen through the seven-segment digital tube in the EDA experiment box, and compared with the previous measurement results by the medical textbook teaching method, thereby determining the correct measurement. Whether or not.
1.2 Design Ideas The pulse signal is collected into the computer through the pulse sensor, and then used as the input signal, and this signal is used as the pulse signal, that is, as the clock signal. When the input is started, the counter starts counting. For example, the VHDL description of a decimal counter is designed as follows. Can be used to count the acquired pulse signals.
1.3 VHDL design flow VHDL process design is shown in Figure 1.

This article refers to the address: http://


Now, the supplier of computer-aided engineering software has adopted the increasingly common hardware description language VHDL as the standard for input and output of its CAD or EDA software. The comprehensive tool Max+PlusII provided by ALTEKA has comprehensive logic design capability from editing. , comprehensive, wiring to simulation, download is very convenient.

2 Design scheme and result analysis VHDL language design decimal counter source program:


After the program input is completed, after compiling through the Compiler in Max+PlusII, the Stimulator can be used to simulate and view the simulation results, as shown in Figure 2.


It is also possible to add the VHDL design of the seven-segment display decoder to scan the count results through the seven-segment digital tube of the experiment box. The simulation results are shown in Fig. 3.


code show as below:


The final implementation of the top-level file schematic is shown in Figure 4.


The top-level file design is shown in Figure 4. The file can be decoded. When downloaded to the EDA experiment box, the corresponding pulse rate can be read on the digital tube of the experiment box, as shown in Figure 5.


The combination of VHDL language and medical content, in addition to pulse measurement, can also be applied to the measurement of heartbeat and breathing, as well as the measurement of physiological response time after the human body is stimulated by sound and light. Electronic automation design (EDA) and related technologies have great application prospects in the medical field, and further research and exploration are needed.

3 Conclusion VHDL is a new hardware description language developed with the continuous development of electronic technology to meet the requirements of circuit system and high integration. VHDL has a wide range of applications and plays an increasingly important role in chip and circuit system design. The EDA technology with VHDL as the core is applied to medicine, which can intuitively and accurately measure the pulse heartbeat, respiratory and other physiological activities of the human body, as well as the physiological response to external stimulation. There is a broad space for development in the medical field, with further exploration and Practice will definitely promote the development of medicine.

Constant Current Led Driver

Constant Current Led Driver,Led Driver 35W,Triac Dimmable Led Driver,Triac Dimmable Led Driver

Shenzhenshi Zhenhuan Electronic Co Ltd , http://www.szzhpower.com